احدث المواضيع
recent

COMPTEUR – DECOMPTEUR

COMPTEUR – DECOMPTEUR 


1- LES COMPTEURS - DÉCOMPTEURS 
Jusqu'ici vous avez vu des compteurs qui incrémentent d'une unité leur contenu à chaque nouvelle impulsion. 
Il existe également des compteurs qui décrémentent leur contenu. On parle alors de décompteurs. 
Le schéma de la figure 41 est un décompteur de module 8 réalisé avec 3 bascules D. Le chronogramme relatif à son fonctionnement et le diagramme des états sont également représentés dans cette figure. Nous pouvons comparer ce schéma à celui de la figure 12. 

La première bascule est toujours câblée en diviseur par deux puisque le LSB passe alternativement de «0» à «1» en mode comptage comme en mode décomptage. 
Par contre, pour les deux bascules suivantes, c'est la sortie Q des bascules précédentes qui fournit le signal d'horloge et non  . 
La figure 42 représente le schéma d'un décompteur synchrone de module 8. 

Un réseau combinatoire composé de trois portes est ici nécessaire. 
Les décompteurs existent sous forme de circuits intégrés. Ces circuits fonctionnent soit en mode comptage, soit en mode décomptage. Il en existe deux types. 
Dans le premier type, il existe une seule entrée de commande du mode comptage / décomptage. 
Dans le deuxième type, il existe deux entrées d'horloge ; l'une est relative au mode comptage, l'autre au mode décomptage. 
La figure 43 représente ces deux possibilités. 
Un exemple de compteur / décompteur intégré (le 4029) 


3. - LES COMPTEURS PRÉPOSITIONNABLES 
3. 1. - PRINCIPE 
Sur le marché des composants, il est facile de trouver des compteurs de module 2n ou 10 (en général, n 4). 
Par contre, pour un compteur possédant n états (n impair), il est nécessaire de recourir à un réseau combinatoire, ce qui augmente la complexité du circuit. 
C'est pour cette raison que les constructeurs ont développé des compteurs prépositionnables. 
Ces derniers permettent de limiter le nombre des états que peut prendre un compteur, autrement dit, ils permettent de réduire le module. 
Pour un compteur prépositionnable dont le module maximal est 16, il sera possible de réduire ce module entre 2 et 16. 
Pour cela, ces compteurs possèdent autant d'entrées de prépositionnement que de sorties. Le schéma de la figure 44 représente un tel compteur. 



Les quatre entrées I1, I2, I3 et I4 sont les entrées de pré positionnement. 
CARRY est une sortie de retenue ou de report. Cette sortie est au niveau H seulement lorsque les quatre sorties Q1, Q2, Q3 et Q4 sont au niveau H. Autrement, elle reste au niveau L. 
L'entrée LOAD est une entrée de commande. Elle permet de «charger» le compteur dans l'état logique où se trouvent les quatre entrées de prépositionnement. 
Si le chargement est asynchrone, dès que l'entrée LOAD est au niveau L, l'état logique de I1 est transmis à Q1, celui de I2 à Q2 et ainsi de suite... 
Si le chargement est synchrone, il faut tout d'abord que l'entrée LOAD soit au niveau L (niveau actif), puis il faut appliquer une impulsion d'horloge pour que le chargement s'effectue. 
En réalisant le câblage de la figure 45, il est possible d'utiliser la sortie CARRY pour prépositionner le compteur. 
Quand le compteur passe à l'état 15, l'entrée LOAD passe au niveau L et le chargement s'effectue au front d'horloge qui suivra (entrée LOAD synchrone). 
Le chronogramme de la figure 46 montre un exemple de fonctionnement avec ce montage. 

Le compteur est pré positionné à l'état 13 et son module est 3 (États 13, 14 et 15). 
Avec ce type de montage, il est possible de passer d'un état prédéterminé (ici 13) à l'état 15 (dans le cas d'un compteur modulo 16), mais ce compteur ne passe pas par les états 0, 1, 2... 
Si l'on veut commencer la phase de comptage à partir de 0, il est nécessaire d'effectuer l'un des deux montages de la figure suivant. 




Dans cette figure - a , quand la sortie du compteur passe à 01012 = 510, l'entrée LOAD passe à l'état 0. Donc, au prochain signal d'horloge, le compteur repasse à l'état 0 puisque les quatre entrées de prépositionnement sont câblées à la masse (entrée LOAD synchrone). 
Il est également possible d'utiliser l'entrée CLEAR comme indiqué à la figure -b ; cette entrée CLEAR étant également synchrone. 
Dans les deux cas, la porte NAND sert à détecter l'état 5 du compteur afin qu'il repasse à 0. 
Néanmoins, ce système est trop rigide car il impose un réseau combinatoire donné pour réaliser un compteur de module défini. Or, avec un compteur prépositionnable, il suffit de changer les données sur les entrées de prépositionnement pour modifier le module. 
2. 2. - LE COMPTEUR INTÉGRÉ HEF 4029B 
C'est un compteur / décompteurs synchrone binaire / décimal 4 bits réalisé en technologie MOS. 
Son schéma fonctionnel et son brochage sont donnés à la figure suivant. 

Le signal d'horloge est appliqué sur l'entrée CP. Ce sont les fronts montants qui sont actifs.  est une entrée de validation. Si elle se trouve au niveau H, le compteur est inhibé ainsi que la retenue. PL est l'entrée de chargement parallèle asynchrone prioritaire. Dès qu'elle passe au niveau H, les quatre données présentes sur P0, P1, P2 et P3 sont transférées sur les sorties O0, O1, O2 et O3. 
La commande UP /  permet soit de compter (UP /  au niveau H), soit de décompter (UP /  au niveau L). 
La commande BIN /  permet le comptage / décomptage soit en code binaire (BIN /  au niveau H), soit en code décimal (BIN /  au niveau L). 
La sortie  est normalement au niveau H et passe au niveau L lorsque le compteur atteint le compte maximal en mode comptage ou le compte minimal en mode décomptage à condition que  soit au niveau L. 
Nous verrons dans le chapitre suivant l'usage qui est fait de cette sortie  . 
Globalement, il existe quatre modes de fonctionnement puisqu'il y a deux entrées de commande (BIN /  et UP /  ) autorisant quatre combinaisons. 
Les diagrammes des états des figure 50 et 51 représentent ces quatre modes de fonctionnement. 

Dans la figure comptage, vous pouvez remarquer que si le compteur est dans un état compris entre 10 et 15 (cas de la mise sous tension), il réintègre l'anneau des états après un certain nombre d'impulsions d'horloge. Par exemple de l'état 12, il passe à l'état 13 puis à l'état 4 en mode comptage. 
Le chronogramme de la figure decomptage illustre le fonctionnement de ce compteur en mode décimal. L'entrée BIN / DEC est au niveau L. 


A l'instant t1, la commande PL (chargement du compteur) passe au niveau L. Donc au front d'horloge actif qui suit, le comptage peut commencer. 
 est à l'état «0». Le comptage est validé. Le compteur progresse donc de «0» à «9». Dès qu'il passe à «9» à l'instant t2, la sortie  (retenue) passe au niveau L. 
Pendant cet état 9, l'entrée de commande UP /  passe au niveau L, donc le compteur va passer en mode décomptage. Immédiatement, la sortie  repasse au niveau H puisque le compteur est en mode décomptage. Au front d'horloge actif suivant, le compteur passe à 8 puis à 7... jusqu'à 0. 
A l'instant t3, le décompteur passe à «0» mais la sortie  reste au niveau H puisque l'entrée de validation  vient de passer au niveau H. 
Par contre, après une période du signal d'horloge, cette entrée  passe au niveau L et par conséquent la sortie  peut passer au niveau L. 
A l'instant t4, la commande PL passe au niveau H donc le chargement du compteur s'effectue et ce dernier passe à l'état «6». 
Il serait possible de tracer le même type de chronogramme pour le mode binaire. 
3 - LES COMPTEURS DE GRANDE CAPACITÉ 
3. 1. - RÉUNION DE PLUSIEURS COMPTEURS EN CASCADE 
Nous pouvons faire deux remarques : 
   * Tout d'abord en utilisant des bascules individualisées, nous sommes très rapidement limités au niveau de la capacité d'un tel compteur. 
En effet, il devient nécessaire d'utiliser un nombre important de circuits intégrés (bascules et réseau combinatoire). 
   *  Ensuite, les compteurs existant sous forme de circuits intégrés ne dépassent guère une douzaine d'étages (type 4040), donc limitent la capacité à 4095 = 212 - 1. 
Il existe des compteurs intégrés possédant jusqu'à 24 étages (cas du circuit 4521) mais tous les étages ne possèdent pas de sortie. Ces circuits sont généralement utilisés comme diviseurs et non pas comme compteurs. 
C'est pour cela que l'on réunit plusieurs compteurs ensemble comme schématisé à la figure suivant. 
   
Il suffit de relier la sortie Q4 d'un compteur (synchrone ou asynchrone) de rang N à l'entrée d'horloge du compteur suivant (de rang N + 1). On intercale un inverseur entre cette sortie Q4 et l'entrée d'horloge car celle-ci est active sur le front montant (dans le cas présent). 
Si chaque compteur possède un module égal à 16 (diviseur par 16), le module total est égal à 16N, si N est le nombre total de compteurs. 
Sur la sortie Q4 du Nième compteur, on peut recueillir un signal de fréquence : 
Fréquence d'horloge / 16N 
Pour deux compteurs en série, le module vaut 256 (16 X 16) et le signal d'horloge est divisé par 256. 
Certains compteurs possèdent une sortie CARRY (retenue) et deux entrées de validation du compteur (par exemple, CEP et CET). 
Si ces deux entrées passent au niveau L, le compteur se bloque dans l'état où il se trouve à ce moment-là. 
Ces caractéristiques permettent de réaliser le montage de la figure suivant. 

Quand le compteur N° 1 atteint sa capacité maximale, la sortie CARRY passe au niveau H et par conséquent, au front actif de l'horloge qui suivra, le compteur N° 2 sera incrémenté (cas d'un compteur) et le compteur N° 1 passera à l'état «0». A ce moment-là, la sortie CARRY repasse au niveau L, ce qui invalide à nouveau le compteur N° 2. 
Le compteur N° 3 s'incrémente seulement si les sorties CARRY des deux premiers compteurs sont au niveau H. A ce moment-là, l'entrée CEP du compteur N° 3 repasse au niveau L, ce qui l'invalide à nouveau et ainsi de suite... 
Il faut noter que la sortie CARRY passe au niveau H seulement si le compteur a atteint sa capacité maximale et si son entrée CET est au niveau H. 
Ainsi, on est certain qu'un compteur de rang N s'incrémentera seulement si tous les compteurs qui le précédent ont atteint leur capacité maximale. 
Avec les compteurs / décompteurs possédant deux entrées d'horloge (une pour le mode comptage, l'autre pour le mode décomptage), une sortie CARRY et une sortie BORROW, il est possible de réaliser le montage de la figure suivant. 
L'entrée UP est l'entrée de comptage et l'entrée DOWN celle de décomptage. 
En mode comptage, le fonctionnement est identique à celui du montage de la figure de compteur assynchrone. 

Dans le cas présent, la sortie CARRY est active à 0. Quand le compteur N° 1 est à l'état 15, la sortie CARRY est au niveau L. Au front d'horloge suivant, elle repasse au niveau H et permet l'incrémentation du compteur N° 2. Le fonctionnement de l'ensemble est asynchrone. 
En mode décomptage, la sortie BORROW (retenue de décomptage) passe au niveau L quand le décompteur atteint l'état 0. 
Donc, quand un nouveau front actif se présente sur l'entrée DOWN du compteur N° 1, ce dernier repasse à l'état 15 et la sortie BORROW à l'état «1», ce qui décrémente d'une unité le compteur N° 2. 
3. 2. - EXEMPLE DE RÉALISATION D'UN COMPTEUR DE GRANDE CAPACITÉ AVEC LE COMPTEUR INTÉGRÉ HEF 4029 B 
En reliant plusieurs compteurs HEF 4029 B comme indiqué à la figure de compteur synchrone, il est possible d'obtenir un compteur / décompteur de grande capacité. 
L'entrée de validation  du premier compteur est câblée à la masse en permanence. 



Ensuite, la sortie  de chaque compteur est reliée à l'entrée  du compteur suivant. Donc, pour qu'un étage (un compteur HEF 4029 B) de rang N puisse s'incrémenter (décrémenter), il faut que son entrée  soit au niveau L, donc que le compteur de rang N - 1 ait atteint sa capacité maximum (dans ce cas, la sortie  passe au niveau L). 
Par ailleurs, pour que la sortie  du compteur de rang N - 1 soit au niveau L, il faut également que son entrée  soit au niveau L. 
Par conséquent, pour qu'un compteur de rang N puisse s'incrémenter (se décrémenter), il faut que tous les compteurs qui le précèdent aient atteint leur capacité maximale. 
Avec ce montage s'achève cette théorie sur les compteurs. 
La théorie suivante vous présentera les systèmes de décodage et les afficheurs. 










احمد

احمد

التعليقات
0 التعليقات
يتم التشغيل بواسطة Blogger.